increasing, along with the related costs of research and development, and the larger manufacturers generally have greater access to the resources necessary to manage their businesses. Over time, smaller manufacturers may not be able to compete with the larger manufacturers on a global basis. Additionally, several of our customers have formed consortia and research and development alliances to better manage the high cost of their development activities, thus reducing the number of design centers we serve. solutions. As a result, we generally see customers selecting suppliers earlier in their development processes and maintaining preferred supplier relationships through production. Therefore, we believe that close collaboration with our customers offers the best opportunity for optimal CMP solutions. We also believe that research and development programs continue to be vital to our success as we develop and commercialize innovative, high-performing and more cost-effective CMP solutions. CMP Consumables Industry Demand for CMP consumables is primarily driven by wafer starts, so the CMP consumables industry reflects the cyclicality of the semiconductor industry as well as changes in global economic conditions. Our revenue and net income for fiscal years 2011 and 2012 clearly demonstrated these effects as we saw softening of demand for our products beginning in the second half of fiscal 2011, and this softness continued through the first half of fiscal 2012. We saw significant growth in our revenue and net income during the second half of fiscal 2012 compared to the revenue and net income earned in the first half of fiscal 2012. However, macroeconomic uncertainty continues to cloud the near-term outlook for the semiconductor industry. Over the long term, we anticipate the worldwide market for CMP consumables used by IC device manufacturers will grow as a result of expected long-term growth in wafer starts, an increase in the number of CMP polishing steps required to produce these devices and the introduction of new materials in the manufacture of semiconductor devices that will require CMP. We expect the anticipated long-term growth in demand will be somewhat mitigated by continued efficiency improvements in CMP consumable usage as customers seek to reduce their costs. Semiconductor manufacturers look for ways to lower the cost of CMP consumables in their production operations, including improvements in technology, diluting slurry or using concentrated slurry products or reducing the slurry flow rate during production to reduce the total amount of slurry used, and extending the polishing time before replacing pads. In addition, we expect to monitor demand trends for PCs, and any related impact on the DRAM memory segment of the semiconductor industry to determine any expected effect on the usage of CMP consumables. As semiconductor technology continues to advance, we believe that CMP technical solutions are becoming more complex, and leading-edge technologies generally require greater customization by customer, tool set and process integration approach. Leading-edge device designs are introducing more materials and processes into next generation chips, and these new materials and processes must be considered in developing CMP Competition We compete in the CMP consumables industry, which is characterized by rapid advances in technology and demanding product quality and consistency requirements. We face competition from other CMP consumables suppliers, and we also may face competition in the future from significant changes in technology or emerging technologies. However, we believe we are well positioned to continue our leadership in CMP slurries, and to continue to grow our CMP pad business. We believe we have the experience, scale, capabilities and infrastructure that are required for success, and we work closely with the largest customers in the semiconductor industry to meet their growing expectations as a trusted business partner. Our CMP slurry competitors range from small companies that compete with a single product and/or in a single geographic region to divisions of global companies with multiple lines of CMP products for IC manufacturers. However, we believe we have more CMP slurry business than any other provider. In our view, we are the only CMP slurry supplier today that serves a broad range of customers by offering and supporting a full line of CMP slurry solutions for all major applications, and that has a proven track record of supplying these products globally in high volumes with the attendant required high level of technical support services. With respect to CMP polishing pads, a division of Dow Chemical has held the leading global position for many years. We believe we are the second largest supplier of polishing pads in the world. A number of other companies are attempting to enter this area of the CMP consumables business, providing potentially viable product alternatives. We believe our pad materials and our continuous pad manufacturing process have enabled us to produce a pad that provides our customers with a longer pad life, lower defectivity and greater consistency than traditional offerings, thus reducing their total pad cost. We believe this has fueled growth in sales of our pad products in recent years. Our QED subsidiary operates in the precision optics industry. There are few direct competitors of QED because its technology is still relatively new and unique. We believe QED’s technology provides a competitive 8